找回密码
 注册
查看: 3025|回复: 0

[论文毕设] 基于FPGA多通道采样系统设计论文资料

[复制链接]
发表于 2016-7-27 18:08:29 | 显示全部楼层 |阅读模式

马上注册,查询更多机械资源,享用更多功能,轻松畅享机械设计招标网。

您需要 登录 才可以下载或查看,没有账号?注册

×
摘  要
本论文介绍了基于FPGA的多通道采样系统的设计。用FPGA设计一个多通道采样控制器,利用VHDL语言设计有限状态机来实现对AD7892的控制。由于FPGA器件的特性是可以实现高速工作,为此模拟信号选用音频信号。由于音频信号的频率是20Hz-20KHz,这样就对AD转换的速率有很高的要求.因为FPGA的功能很强大,所以我们把系统的许多功能都集成到FPGA器件中,例如AD通道选择部分,串并输出控制模块,这样使得整个系统的外围电路简单、系统的稳定性强。FPGA的配置模式选用被动串行模式,这样就增强了系统的可扩展性。输出模式可选择性使得系统的应用相当广泛,串行输出可以用于通信信号的采集,方便调制后发射到远程接受端,远程接收端对采集的数据进行解调;而并行输出模式则可以通过高速存储器将采集的信号放到微机或者其他的处理器上,根据采集的数据进行相应的控制。此系统的缺点是由于FPGA器件配置是基于SRAM查找表单元,编程的信息是保持在SRAM中,但SRAM在掉电后编程信息立即丢失,所以每次系统上电都需要重新配置芯片,这对在野外作业的工作人员很不方便,解决的方法是专用的配置器件来配置FPGA,在每次系统上电的时候会自动把编程信息配置到FPGA芯片中。但设计中没有采用到这种配置方案主要是考虑到专用配置器件的价格问题。
本文开始介绍了多通道系统的组成部分,然后分别介绍了各个组成部分的原理和设计方法,其中重点介绍了FPGA软件设计部分。还对当前十分流行的基于FPGA的设计技术作了简单的阐述,最后对系统的调试和应用作了简短的说明。
关键词:音频放大;滤波器;FPGA;VHDL;AD7892;有限状态机;


基于FPGA多通道采样系统设计论文资料.rar

675.77 KB, 下载次数: 0

售价: 5 银币  [记录]  [购买]

招标网平台地图|Archiver|手机版|机械设计招标网 ( 京ICP备17072296号-4 )

GMT+8, 2024-5-4 10:28

Powered by Discuz! X3.5

© 2001-2024 Discuz! Team.

快速回复 返回顶部 返回列表